summaryrefslogtreecommitdiff
path: root/synth/note.h
diff options
context:
space:
mode:
Diffstat (limited to 'synth/note.h')
-rw-r--r--synth/note.h3
1 files changed, 3 insertions, 0 deletions
diff --git a/synth/note.h b/synth/note.h
index 7181f6d..379f2b1 100644
--- a/synth/note.h
+++ b/synth/note.h
@@ -3,6 +3,8 @@
#include <jack/jack.h>
+#include <list>
+
#include "programs.h"
#include "envelope.h"
#include "fixed.h"
@@ -43,6 +45,7 @@ class Note : public NoteSkel
fixed_t *old_oscval;
int n_oscillators;
oscillator_t *oscillator;
+ std::list<int>* fm_oscs;
fixed_t sync_factor;
fixed_t sync_phase;