summaryrefslogtreecommitdiff
path: root/synth/note_skel.h
diff options
context:
space:
mode:
Diffstat (limited to 'synth/note_skel.h')
-rw-r--r--synth/note_skel.h2
1 files changed, 2 insertions, 0 deletions
diff --git a/synth/note_skel.h b/synth/note_skel.h
index 6aebb8b..1afbe3a 100644
--- a/synth/note_skel.h
+++ b/synth/note_skel.h
@@ -21,6 +21,7 @@ class NoteSkel
void set_freq(float f, bool do_port);
void set_pitchbend(fixed_t pb);
void set_vel(float v);
+ void set_vol_factor(float vol_fac);
void set_portamento_frames(jack_nframes_t f);
virtual void release_quickly(jack_nframes_t maxt)=0;
@@ -44,6 +45,7 @@ class NoteSkel
jack_nframes_t portamento_t, portamento_frames;
pfactor_value_t pfactor;
+ float volume_factor;
int note;
int program;