summaryrefslogtreecommitdiff
path: root/synth/note.h
diff options
context:
space:
mode:
Diffstat (limited to 'synth/note.h')
-rw-r--r--synth/note.h2
1 files changed, 1 insertions, 1 deletions
diff --git a/synth/note.h b/synth/note.h
index 03a7180..cc043e6 100644
--- a/synth/note.h
+++ b/synth/note.h
@@ -12,7 +12,7 @@
class Note : public NoteSkel
{
public:
- Note(int n, float v,program_t &prg, jack_nframes_t pf, fixed_t pb, int prg_no);
+ Note(int n, float v,program_t &prg, jack_nframes_t pf, fixed_t pb, int prg_no, float vol_fac);
~Note();
fixed_t get_sample();