summaryrefslogtreecommitdiff
path: root/synth/note.h
diff options
context:
space:
mode:
Diffstat (limited to 'synth/note.h')
-rw-r--r--synth/note.h13
1 files changed, 3 insertions, 10 deletions
diff --git a/synth/note.h b/synth/note.h
index 5035bd2..9e3bc52 100644
--- a/synth/note.h
+++ b/synth/note.h
@@ -7,27 +7,20 @@
#include "envelope.h"
#include "fixed.h"
#include "filter.h"
+#include "note_skel.h"
-class Note
+class Note : public NoteSkel
{
public:
Note(int n, float v,program_t &prg, jack_nframes_t pf, fixed_t pb, int prg_no);
~Note();
fixed_t get_sample();
- int get_note();
- void set_note(int n);
- void set_note(int n, bool do_port);
- void set_freq(float f);
- void set_freq(float f, bool do_port);
- void set_pitchbend(fixed_t pb);
- void set_vel(float v);
- void set_portamento_frames(jack_nframes_t f);
+
void release_quickly(jack_nframes_t maxt);
void release();
void reattack();
bool still_active();
void set_param(const parameter_t &p, fixed_t v);
- int get_program(){return program;}
private:
void do_ksl();