summaryrefslogtreecommitdiff
path: root/synth/note.h
diff options
context:
space:
mode:
Diffstat (limited to 'synth/note.h')
-rw-r--r--synth/note.h7
1 files changed, 0 insertions, 7 deletions
diff --git a/synth/note.h b/synth/note.h
index 0e76505..aab471c 100644
--- a/synth/note.h
+++ b/synth/note.h
@@ -51,13 +51,6 @@ class Note : public NoteSkel
oscillator_t *oscillator;
filter_params_t filter_params;
} orig;
-
-/* *einstellungen: oszillatoren, deren lautstärke etc.
- * note
- * lautstärke
- * *pitchbend
- * *portamento time
- */
};